Jump to content

Inverter overloading


tce

Recommended Posts

Hi,

I am doing a study to arrive at the optimum DC/AC Ratio for our Solar MW Power Plants. As a part of this study, I am running simulations with different inverter overloads. When I tried to overload the inverter beyond 1.33 (say, 1.4), the simulation report shows only 1.33 as the inverter loading and the output corresponds to only this input. I tried doing this overloading beyond 1.33 with different inverters, different module ratings etc. but I am facing the same problem as the output corresponds to a maximum of only 1.33 inverter overloading. Please explain whether this is the limitation set by PVsyst internally for inverter overloading or whether the inverter manufacturers set this limit. Also, let us know whether there is any option to overload the inverters beyond 1.33 for our study and comparion purpose.

Awaiting your reply.....

Thanks,

TCE.

Link to comment
Share on other sites

I don't understand what you mean.

The PNom ratio is not an input parameter in PVsyst, it is the result of your definitions for the PV array and the inverter.

Now you can have some limitations, concerning the accepted overload loss. By default it is 3%, but you can increase this limitation in the project's definition, button "Albedo & Settings".

Link to comment
Share on other sites

  • 3 weeks later...

Hi,

I would like to know whether Solar GIS data can be imported and used in PVsyst for simulation. If so, please let me know how to import the data. Also, please let us know the other than Solar GIS and Meteonorm, what else weather data software can be used to run simulation in PVsyst. I have to do a study for a particular location with four different weather data resources and compare the simulation reports for analysis. Please support us with your reply.

Thanks,

TCE.

Link to comment
Share on other sites

  • 4 weeks later...

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...