Jump to content

Creating Measured Data Analysis Variant


vrajeshpatel

Recommended Posts

I am not sure if this is the intended purpose but I was trying to take existing variant from a grid connected project and run through measured data analysis tool. The software lets me create a new variant from VC# files and it even lets me do simulation and save but when I go back the saved CM0 variant disappears. After looking at the project folder, I noticed that it actually created another VC# variant rather than CM0.

I am using Version 6.26 (Here are the steps)

1. Create a project under Project Design - Grid Connected. Save a variant.

2. Go to Tools - Measured Data Analysis - Create variant From - Choose the previously saved variant in step 1(VC0 file).

3. Run simulation/save variant.

4. close PVsyst

5. Open the measured data project you saved in step 3.

If this is not intended purpose, it would be good feature to have instead of recreating new variant from scratch which is time consuming when you have lot of sites to analyze.

Thanks.

- Vrajesh

Link to comment
Share on other sites

  • 10 months later...

Hi,

I am following this post and I think this is what I need.

I have solar sites that have been operating for 3-4 years... So I have reliable data. In order to calibrate my PVsyst model I would like to set up a variant with the exact details of my existing site(s). From there, I calibrate the PVsyst model to the actual output of my system. Now, when I want to use the same inverter/Module/Racking combination, all I need to do is use that variant as a base and modify the site location and Module/Rack/Inverter quantities to derive a very accurate simulation... However, at this point I am having a hard time doing this in PV Syst... How would I accomplish this?

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...