Jump to content

How to calculate annual solar panel performance with hourly internal data?


Jahan Prova

Recommended Posts

My assignment is to design a solar farm in Melbourne. My professor asked for the system design along with annual solar panel performance with hourly internal data.

Only info given are-

Location- Melbourne, AUS

System Capacity: 4.95MW

Panel Type - Trina 450W DE17M(II)

Inverter Type - ABB PVS980-58-5000kVA-L

Number of Panels: 11,000

Number of Inverters: 1

Mounting Type: Single Axes Tracker

Mounting Model: Nextracker NX Horizon

Link to comment
Share on other sites

  • 3 weeks later...
  • 2 weeks later...

Such a system may easily be defined in PVsyst. You have all the required elements for that.

You can get hourly data by defining a CSV output file (for EXCEL) using "Advanced simul > Output file". Here you can define the simulation variables you want to export.

 

Hi, I do that but when I chose E_Grid ( in my case) and I push 'OK'. Later I dont know where I can look for the CVS file o how to export it.

Link to comment
Share on other sites

  • 2 months later...

After defining the data you want to get in the CSV file, please don't forget to check "Datafile output / Output on filename" top left.

The file will be available at the end of the next simulation. The simulation will notify you where it is located (i.e. in your workspace \Userdata\).

NB: You have to re-check the option "Output on filename" at each executions when you want an output file.

NB2: This is fully explained in the help "Project design > Simulation > Simulation: export ASCII file", available by F1 in the importing tool.

You have access to the Help by F1 (or little questionmark buttons for mor specific information) from everywhere in the software. Please use it.

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...